File tree Expand file tree Collapse file tree 2 files changed +7
-6
lines changed
projects/admx6001_ebz/vcu118 Expand file tree Collapse file tree 2 files changed +7
-6
lines changed Original file line number Diff line number Diff line change @@ -150,8 +150,9 @@ create_clock -period 2.500 -name dco_clk [get_ports dco_p]
150150# Constraint SYSREFs
151151# Assumption is that REFCLK and SYSREF have similar propagation delay,
152152# and the SYSREF is a source synchronous Center-Aligned signal to REFCLK
153-
154- set_input_delay -clock [get_clocks global_clk_0] 1.600 [get_ports rx_sysref_*]
153+ set_input_delay -clock [get_clocks global_clk_0] \
154+ [expr [get_property PERIOD [get_clocks global_clk_0]] / 2] \
155+ [get_ports {rx_sysref_*}]
155156
156157# #by default IOB is TRUE and this register is not being driven by any IO element
157158set_property IOB FALSE [get_cells -hierarchical -regexp {.*hmc7044_spi.*IO0_I_REG$}];
@@ -163,6 +164,8 @@ set_property IOB FALSE [get_cells -hierarchical -regexp {.*ltc2664_spi.*IO0_I_RE
163164create_generated_clock -name adl5580_spi_clk -source [get_pins i_system_wrapper/system_i/adl5580_spi/ext_spi_clk] -divide_by 2 [get_pins i_system_wrapper/system_i/adl5580_spi/sck_o]
164165create_generated_clock -name hmc7044_spi_clk -source [get_pins i_system_wrapper/system_i/hmc7044_spi/ext_spi_clk] -divide_by 2 [get_pins i_system_wrapper/system_i/hmc7044_spi/sck_o]
165166
166- # Set false path for the AD4080 sync GPIO
167167
168- set_false_path -through [get_nets i_system_wrapper/gpio_o[56]]
168+
169+ # Set false path from AXI GPIO to AD9213 Data Offload as the GPIO is used only as a switch
170+
171+ set_false_path -from [get_cells -hierarchical -filter {NAME =~ " *axi_gpio/U0/gpio_core_1/Dual.gpio2_Data_Out_reg*" }] -to [get_cells -hierarchical -filter {NAME =~ " *axi_ad9213_do*" }]
Original file line number Diff line number Diff line change @@ -16,8 +16,6 @@ adi_project_files admx6001_ebz_vcu118 [list \
1616 " system_constr.xdc" \
1717 " system_top.v" ]
1818
19- set_property PROCESSING_ORDER LATE [get_files system_constr.xdc]
20-
2119# # To improve timing in DDR4 MIG
2220set_property strategy Performance_SpreadSLLs [get_runs impl_1]
2321
You can’t perform that action at this time.
0 commit comments