Skip to content

Commit 51b15b9

Browse files
Update to QPDS 25.1.1 tools release
This is a significant update to all hardware and software objects within this repo as they are all updated to build under the QPDS 25.1.1 tools environment. Some of the more notable changes are listed below: The following IP cores are updated in the 25.1.1 tools: intel_agilex_5_soc instance 9.0.0 emif_io96b_hps 4.0.0 altera_axi_bridge 19.9.5 The 25.1.1 tools require all pin locations to be defined in the QSF in order to allow SOF file generation. All boards have been updated with a full set of pin assignments. Added HPS EMIF ECC support for Altera 065 premium dev kit and modular dev kit. Added the brd_altera_a5e013cs board which supports the production Agilex* 5 FPGA E-Series 013B Development Kit. u-boot-socfpga, arm-trusted-firmware and linux-socfpga are updated to the latest tag, QPDS25.1.1_REL_GSRD_PR toybox remains at the latest tag, 0.8.12 Signed-off-by: Rod Frazer <rod.frazer@altera.com>
1 parent c3de7dc commit 51b15b9

File tree

82 files changed

+6359
-2284
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

82 files changed

+6359
-2284
lines changed

README.md

Lines changed: 3 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -7,9 +7,9 @@
77
- **title**: agilex5-demo-hps2fpga-interfaces
88
- **source**: GitHub
99
- **family**: Agilex 5
10-
- **quartus_version**: Version 25.1.0 Build 129 03/26/2025 SC Pro Edition
10+
- **quartus_version**: Version 25.1.1 Build 125 07/31/2025 SC Pro Edition
1111
- **devkit**: Agilex 5 FPGA E-Series 065B Premium Development Kit, and others
12-
- **device_part**: A5ED065BB32AE5SR0, A5ED065BB32AE4SR0, A5ED065BB32AE6SR0
12+
- **device_part**: A5ED065BB32AE5SR0, A5ED065BB32AE4SR0, A5ED065BB32AE6SR0, A5ED013BM16AE4SCS
1313
- **description**: Agilex 5 HPS-to-FPGA interfaces demos.
1414
- **rich_description**: This project is a demonstration and evaluation example that shows how users can implement and interact with the various hardware interfaces between the Hard Processing System (SoC) and FPGA fabric on the Agilex 5 FPGA device family.
1515
- **url**: https://github.com/altera-fpga/agilex5-demo-hps2fpga-interfaces
@@ -29,6 +29,7 @@ The project build instructions are documented [**here**](./documentation/17_buil
2929
| :--- | :--- |
3030
| brd_altera_a5e065_premium_es | **Company:** Altera Corporation<br>**Board Name:** Agilex* 5 FPGA E-Series 065B Premium Development Kit<br>**OPN:** DK-A5E065BB32AES1<br>**Comment:** ES device |
3131
| brd_altera_a5e065_modular_es | **Company:** Altera Corporation<br>**Board Name:** Agilex* 5 FPGA E-Series 065B Modular Development Kit<br>**OPN:** MK-A5E065BB32AES1<br>**Comment:** ES device |
32+
| brd_altera_a5e013cs | **Company:** Altera Corporation<br>**Board Name:** Agilex* 5 FPGA E-Series 013B Development Kit<br>**OPN:** DK-A5E013BM16AEA<br>**Comment:** Production device |
3233
| brd_arrow_axe5_eagle_es | **Company:** Arrow Electronics, Inc.<br>**Board Name:** Arrow AXE5-Eagle Development Platform<br>**OPN:** AXE5-EAGLE-ES<br>**Comment:** ES device |
3334
| brd_criticallink_mitysbc_es | **Company:** Critical Link, LLC<br>**Board Name:** MitySBC-A5E Single Board Computer<br>**OPN:** A5ED-B9-C7F-RC-SBC-X<br>**Comment:** ES device |
3435
| brd_macnica_sulfur_es_125 | **Company:** Macnica, Inc.<br>**Board Name:** Macnica Mpression Sulfur Kit / Type A<br>**OPN:** ALTSULFUR_A5ED065B_E5_ES0_typeA<br>**Comment:** ES device - 125MHz SDM_OSC_CLK |

brd_altera_a5e013cs/hw_base/a55_do_create_no_pins_hps.tcl

Lines changed: 1947 additions & 0 deletions
Large diffs are not rendered by default.

brd_altera_a5e013cs/hw_base/a76_do_create_no_pins_hps.tcl

Lines changed: 1947 additions & 0 deletions
Large diffs are not rendered by default.
Lines changed: 199 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,199 @@
1+
#
2+
# SPDX-FileCopyrightText: Copyright (C) 2025 Altera Corporation
3+
# SPDX-License-Identifier: MIT-0
4+
#
5+
6+
#
7+
# This script is created by first going into Quartus and select the menu:
8+
# Project -> Organize Quartus Prime Settings File
9+
#
10+
# Then export the project to TCL:
11+
# Project -> Generate Tcl File for Project...
12+
#
13+
# We then take that TCL file and reformat it for our needs. We discard ancilary
14+
# settings that are of no real use and we remove all .qsys and .ip references
15+
# because we will restore those into future project creations as we reconstruct
16+
# the project from raw source.
17+
#
18+
# The default format of the pin assignments may or may not be desirable, it will
19+
# list all pin locations first followed by all pin instance assignments. If we
20+
# wish to sort this list placing all assignments for a given pin together, we
21+
# can do this:
22+
#
23+
# Duplicate the original generated TCL file and call it pins_only.tcl. Edit the
24+
# file to remove all entries but the pin assignments. Also remove any entity
25+
# references at the ends of any lines, each line should end with the
26+
# "-to <target>" value. Then we can run this command line to sort the pin
27+
# assignments:
28+
#
29+
# []$ awk '{print $NF,$0}' pins_only.tcl | sort -s -k 1,1 | cut -f2- -d' ' > sorted_pins_only.tcl
30+
#
31+
32+
package require ::quartus::project
33+
34+
set PROJECT_NAME "no_pins_top"
35+
if [project_exists $PROJECT_NAME] {
36+
post_message -type error "project already exists..."
37+
post_message -type error "'$PROJECT_NAME'"
38+
qexit -error
39+
}
40+
project_new $PROJECT_NAME
41+
42+
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
43+
set_global_assignment -name VERILOG_FILE ../hw_base/no_pins_top.v
44+
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
45+
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
46+
set_global_assignment -name FAMILY "Agilex 5"
47+
set_global_assignment -name TOP_LEVEL_ENTITY no_pins_top
48+
set_global_assignment -name BOARD default
49+
set_global_assignment -name DEVICE A5ED013BM16AE4SCS
50+
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
51+
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "ACTIVE SERIAL X4"
52+
set_global_assignment -name ACTIVE_SERIAL_CLOCK AS_FREQ_100MHZ
53+
set_global_assignment -name DEVICE_INITIALIZATION_CLOCK OSC_CLK_1_100MHZ
54+
set_global_assignment -name USE_HPS_COLD_RESET SDM_IO10
55+
set_global_assignment -name USE_CONF_DONE SDM_IO16
56+
set_global_assignment -name USE_INIT_DONE SDM_IO0
57+
set_global_assignment -name SDM_DIRECT_TO_FACTORY_IMAGE SDM_IO13
58+
set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "LINEAR FORMAT"
59+
set_global_assignment -name PWRMGT_LINEAR_FORMAT_N "-12"
60+
set_global_assignment -name NUMBER_OF_SLAVE_DEVICE 2
61+
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
62+
set_global_assignment -name HPS_DAP_SPLIT_MODE "SDM PINS"
63+
set_global_assignment -name HPS_DAP_NO_CERTIFICATE on
64+
set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
65+
set_global_assignment -name ENABLE_SIGNALTAP OFF
66+
set_global_assignment -name POWER_APPLY_THERMAL_MARGIN ADDITIONAL
67+
set_global_assignment -name STRATIX_JTAG_USER_CODE 66666666
68+
set_global_assignment -name USE_CHECKSUM_AS_USERCODE OFF
69+
set_global_assignment -name HPS_INITIALIZATION "HPS FIRST"
70+
set_global_assignment -name QSPI_OWNERSHIP HPS
71+
set_global_assignment -name INI_VARS "asm_enable_advanced_devices=on"
72+
set_global_assignment -name NUM_PARALLEL_PROCESSORS 4
73+
74+
set_location_assignment PIN_C2 -to emif_hps_emif_mem_0_mem_ca[0]
75+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ca[0]
76+
set_location_assignment PIN_D3 -to emif_hps_emif_mem_0_mem_ca[1]
77+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ca[1]
78+
set_location_assignment PIN_C3 -to emif_hps_emif_mem_0_mem_ca[2]
79+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ca[2]
80+
set_location_assignment PIN_B3 -to emif_hps_emif_mem_0_mem_ca[3]
81+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ca[3]
82+
set_location_assignment PIN_A6 -to emif_hps_emif_mem_0_mem_ca[4]
83+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ca[4]
84+
set_location_assignment PIN_B5 -to emif_hps_emif_mem_0_mem_ca[5]
85+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ca[5]
86+
set_location_assignment PIN_E10 -to emif_hps_emif_mem_0_mem_ck_c
87+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ck_c
88+
set_location_assignment PIN_A4 -to emif_hps_emif_mem_0_mem_cke
89+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_cke
90+
set_location_assignment PIN_E9 -to emif_hps_emif_mem_0_mem_ck_t
91+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_ck_t
92+
set_location_assignment PIN_C7 -to emif_hps_emif_mem_0_mem_cs
93+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_cs
94+
set_location_assignment PIN_L7 -to emif_hps_emif_mem_0_mem_dmi[0]
95+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dmi[0]
96+
set_location_assignment PIN_K5 -to emif_hps_emif_mem_0_mem_dmi[1]
97+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dmi[1]
98+
set_location_assignment PIN_D13 -to emif_hps_emif_mem_0_mem_dmi[2]
99+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dmi[2]
100+
set_location_assignment PIN_B11 -to emif_hps_emif_mem_0_mem_dmi[3]
101+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dmi[3]
102+
set_location_assignment PIN_H6 -to emif_hps_emif_mem_0_mem_dq[0]
103+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[0]
104+
set_location_assignment PIN_H7 -to emif_hps_emif_mem_0_mem_dq[1]
105+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[1]
106+
set_location_assignment PIN_M3 -to emif_hps_emif_mem_0_mem_dq[10]
107+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[10]
108+
set_location_assignment PIN_L4 -to emif_hps_emif_mem_0_mem_dq[11]
109+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[11]
110+
set_location_assignment PIN_M4 -to emif_hps_emif_mem_0_mem_dq[12]
111+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[12]
112+
set_location_assignment PIN_G4 -to emif_hps_emif_mem_0_mem_dq[13]
113+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[13]
114+
set_location_assignment PIN_H3 -to emif_hps_emif_mem_0_mem_dq[14]
115+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[14]
116+
set_location_assignment PIN_H5 -to emif_hps_emif_mem_0_mem_dq[15]
117+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[15]
118+
set_location_assignment PIN_D15 -to emif_hps_emif_mem_0_mem_dq[16]
119+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[16]
120+
set_location_assignment PIN_C13 -to emif_hps_emif_mem_0_mem_dq[17]
121+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[17]
122+
set_location_assignment PIN_C10 -to emif_hps_emif_mem_0_mem_dq[18]
123+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[18]
124+
set_location_assignment PIN_D10 -to emif_hps_emif_mem_0_mem_dq[19]
125+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[19]
126+
set_location_assignment PIN_G5 -to emif_hps_emif_mem_0_mem_dq[2]
127+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[2]
128+
set_location_assignment PIN_C8 -to emif_hps_emif_mem_0_mem_dq[20]
129+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[20]
130+
set_location_assignment PIN_D9 -to emif_hps_emif_mem_0_mem_dq[21]
131+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[21]
132+
set_location_assignment PIN_D14 -to emif_hps_emif_mem_0_mem_dq[22]
133+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[22]
134+
set_location_assignment PIN_C15 -to emif_hps_emif_mem_0_mem_dq[23]
135+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[23]
136+
set_location_assignment PIN_A8 -to emif_hps_emif_mem_0_mem_dq[24]
137+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[24]
138+
set_location_assignment PIN_B8 -to emif_hps_emif_mem_0_mem_dq[25]
139+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[25]
140+
set_location_assignment PIN_A9 -to emif_hps_emif_mem_0_mem_dq[26]
141+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[26]
142+
set_location_assignment PIN_B9 -to emif_hps_emif_mem_0_mem_dq[27]
143+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[27]
144+
set_location_assignment PIN_B13 -to emif_hps_emif_mem_0_mem_dq[28]
145+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[28]
146+
set_location_assignment PIN_A13 -to emif_hps_emif_mem_0_mem_dq[29]
147+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[29]
148+
set_location_assignment PIN_G6 -to emif_hps_emif_mem_0_mem_dq[3]
149+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[3]
150+
set_location_assignment PIN_B14 -to emif_hps_emif_mem_0_mem_dq[30]
151+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[30]
152+
set_location_assignment PIN_A14 -to emif_hps_emif_mem_0_mem_dq[31]
153+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[31]
154+
set_location_assignment PIN_M6 -to emif_hps_emif_mem_0_mem_dq[4]
155+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[4]
156+
set_location_assignment PIN_N5 -to emif_hps_emif_mem_0_mem_dq[5]
157+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[5]
158+
set_location_assignment PIN_M5 -to emif_hps_emif_mem_0_mem_dq[6]
159+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[6]
160+
set_location_assignment PIN_L6 -to emif_hps_emif_mem_0_mem_dq[7]
161+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[7]
162+
set_location_assignment PIN_L3 -to emif_hps_emif_mem_0_mem_dq[8]
163+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[8]
164+
set_location_assignment PIN_J5 -to emif_hps_emif_mem_0_mem_dq[9]
165+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dq[9]
166+
set_location_assignment PIN_J7 -to emif_hps_emif_mem_0_mem_dqs_c[0]
167+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_c[0]
168+
set_location_assignment PIN_J3 -to emif_hps_emif_mem_0_mem_dqs_c[1]
169+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_c[1]
170+
set_location_assignment PIN_C11 -to emif_hps_emif_mem_0_mem_dqs_c[2]
171+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_c[2]
172+
set_location_assignment PIN_A11 -to emif_hps_emif_mem_0_mem_dqs_c[3]
173+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_c[3]
174+
set_location_assignment PIN_K7 -to emif_hps_emif_mem_0_mem_dqs_t[0]
175+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_t[0]
176+
set_location_assignment PIN_J4 -to emif_hps_emif_mem_0_mem_dqs_t[1]
177+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_t[1]
178+
set_location_assignment PIN_D12 -to emif_hps_emif_mem_0_mem_dqs_t[2]
179+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_t[2]
180+
set_location_assignment PIN_B10 -to emif_hps_emif_mem_0_mem_dqs_t[3]
181+
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_dqs_t[3]
182+
set_location_assignment PIN_E15 -to emif_hps_emif_mem_0_mem_reset_n
183+
set_instance_assignment -name IO_STANDARD "1.1-V LVSTL" -to emif_hps_emif_mem_0_mem_reset_n
184+
set_location_assignment PIN_E14 -to emif_hps_emif_oct_0_oct_rzqin
185+
set_instance_assignment -name IO_STANDARD "1.1-V" -to emif_hps_emif_oct_0_oct_rzqin
186+
set_location_assignment PIN_A7 -to emif_hps_emif_ref_clk_0_clk
187+
set_location_assignment PIN_B6 -to "emif_hps_emif_ref_clk_0_clk(n)"
188+
set_instance_assignment -name IO_STANDARD "1.8-V" -to hps_osc_clk
189+
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hps_uart0_RX
190+
set_location_assignment PIN_F24 -to hps_uart0_RX
191+
set_instance_assignment -name IO_STANDARD "1.8-V" -to hps_uart0_RX
192+
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to hps_uart0_TX
193+
set_location_assignment PIN_C27 -to hps_uart0_TX
194+
set_instance_assignment -name IO_STANDARD "1.8-V" -to hps_uart0_TX
195+
196+
export_assignments
197+
198+
project_close
199+
Lines changed: 26 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,26 @@
1+
<!--
2+
3+
SPDX-FileCopyrightText: Copyright (C) 2024 Intel Corporation
4+
SPDX-FileCopyrightText: Copyright (C) 2025 Altera Corporation
5+
SPDX-License-Identifier: MIT-0
6+
7+
-->
8+
9+
<library>
10+
<path path="../../custom_ip/**/*" >
11+
<tag2 key="COMPONENT_IN_PROJECT" value="true" />
12+
</path>
13+
<plugin
14+
name="DDR4-1600L_800MHz_CL12_alloff_component_1CS_DDP_32Gb_2Gx16.qprs"
15+
file="../hw_base/DDR4-1600L_800MHz_CL12_alloff_component_1CS_DDP_32Gb_2Gx16.qprs"
16+
displayName="DDR4-1600L_800MHz_CL12_alloff_component_1CS_DDP_32Gb_2Gx16.qprs"
17+
version="0.0"
18+
description=""
19+
tags=""
20+
categories=""
21+
type="com.altera.sopcmodel.util.IElementPresetList"
22+
subtype=""
23+
factory="PresetFactory">
24+
<tag2 key="PRESET_TYPE" value="emif_ph2_phy_arch_fp" />
25+
</plugin>
26+
</library>
Lines changed: 52 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,52 @@
1+
/*
2+
* SPDX-FileCopyrightText: Copyright (C) 2024 Intel Corporation
3+
* SPDX-FileCopyrightText: Copyright (C) 2025 Altera Corporation
4+
* SPDX-License-Identifier: MIT-0
5+
*/
6+
7+
`timescale 1ns/10ps
8+
9+
module no_pins_top (
10+
11+
input hps_osc_clk,
12+
13+
output hps_uart0_TX,
14+
input hps_uart0_RX,
15+
16+
output wire emif_hps_emif_mem_0_mem_ck_t,
17+
output wire emif_hps_emif_mem_0_mem_ck_c,
18+
output wire emif_hps_emif_mem_0_mem_cke,
19+
output wire emif_hps_emif_mem_0_mem_reset_n,
20+
input wire emif_hps_emif_oct_0_oct_rzqin,
21+
input wire emif_hps_emif_ref_clk_0_clk,
22+
inout wire [ 3:0] emif_hps_emif_mem_0_mem_dqs_t,
23+
inout wire [ 3:0] emif_hps_emif_mem_0_mem_dqs_c,
24+
inout wire [31:0] emif_hps_emif_mem_0_mem_dq,
25+
output wire emif_hps_emif_mem_0_mem_cs,
26+
output wire [ 5:0] emif_hps_emif_mem_0_mem_ca,
27+
inout wire [ 3:0] emif_hps_emif_mem_0_mem_dmi
28+
);
29+
30+
no_pins_pd_top hps_system (
31+
32+
.hps_io_hps_osc_clk (hps_osc_clk),
33+
34+
.hps_io_uart0_tx (hps_uart0_TX),
35+
.hps_io_uart0_rx (hps_uart0_RX),
36+
37+
.emif_bank3a_hps_mem_0_mem_cs (emif_hps_emif_mem_0_mem_cs),
38+
.emif_bank3a_hps_mem_0_mem_ca (emif_hps_emif_mem_0_mem_ca),
39+
.emif_bank3a_hps_mem_0_mem_cke (emif_hps_emif_mem_0_mem_cke),
40+
.emif_bank3a_hps_mem_0_mem_dq (emif_hps_emif_mem_0_mem_dq),
41+
.emif_bank3a_hps_mem_0_mem_dqs_t (emif_hps_emif_mem_0_mem_dqs_t),
42+
.emif_bank3a_hps_mem_0_mem_dqs_c (emif_hps_emif_mem_0_mem_dqs_c),
43+
.emif_bank3a_hps_mem_0_mem_dmi (emif_hps_emif_mem_0_mem_dmi),
44+
.emif_bank3a_hps_mem_ck_0_mem_ck_t (emif_hps_emif_mem_0_mem_ck_t),
45+
.emif_bank3a_hps_mem_ck_0_mem_ck_c (emif_hps_emif_mem_0_mem_ck_c),
46+
.emif_bank3a_hps_mem_reset_n_mem_reset_n (emif_hps_emif_mem_0_mem_reset_n),
47+
.emif_bank3a_hps_oct_0_oct_rzqin (emif_hps_emif_oct_0_oct_rzqin),
48+
.emif_bank3a_hps_ref_clk_clk (emif_hps_emif_ref_clk_0_clk)
49+
50+
);
51+
52+
endmodule
Lines changed: 31 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,31 @@
1+
#!/bin/bash
2+
#
3+
# SPDX-FileCopyrightText: Copyright (C) 2024 Intel Corporation
4+
# SPDX-License-Identifier: MIT-0
5+
#
6+
7+
# change into the directory of this script
8+
cd $(dirname ${0})
9+
10+
IMAGE_BUILD_SCRIPTS="
11+
../../common_images/build_demos_fit_image.sh
12+
../../common_images/build_jic_image.sh
13+
../../common_images/create_attribution.sh
14+
../../common_images/fit_no-pins-demos.its
15+
../../common_images/fit_lzma-no-pins-demos.its
16+
../../common_images/jic_no-pins-demos.pfg
17+
"
18+
19+
for NEXT in ${IMAGE_BUILD_SCRIPTS:?}
20+
do
21+
[ -f "$(basename "${NEXT:?}")" ] || {
22+
cp -s -t ./ "${NEXT:?}" || { echo "ERROR" ; exit 1 ; }
23+
echo "Created symbolic link for '$(basename ${NEXT:?})'"
24+
}
25+
done
26+
27+
sed -i.bak -e "/flash_loader/ s/A5ED065BB32AR0/A5ED013BM16A/" jic_no-pins-demos.pfg \
28+
|| { echo "ERROR" ; exit 1 ; }
29+
30+
./build_jic_image.sh || { echo "ERROR" ; exit 1 ; }
31+
Lines changed: 30 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,30 @@
1+
#!/bin/bash
2+
#
3+
# SPDX-FileCopyrightText: Copyright (C) 2024 Intel Corporation
4+
# SPDX-License-Identifier: MIT-0
5+
#
6+
7+
# change into the directory of this script
8+
cd $(dirname ${0})
9+
10+
cp -s \
11+
-t ./ \
12+
../common_build_scripts/build_hw.sh \
13+
../common_build_scripts/check_hw_build.sh \
14+
../common_build_scripts/create_bitstreams.sh \
15+
../common_build_scripts/hw_build_dirs.src \
16+
|| { echo "ERROR" ; exit 1 ; }
17+
18+
# source the hardware build directories variable declaration
19+
. ./hw_build_dirs.src
20+
21+
for NEXT_BUILD_DIR in ${HW_BUILD_DIRECTORIES:?}
22+
do
23+
echo "Creating hardware directory: '${NEXT_BUILD_DIR:?}'"
24+
mkdir "${NEXT_BUILD_DIR:?}" && \
25+
ln -s \
26+
../../common_build_scripts/uart0_init_proj.sh \
27+
./${NEXT_BUILD_DIR:?}/init_proj.sh \
28+
|| { echo "ERROR" ; exit 1 ; }
29+
done
30+

0 commit comments

Comments
 (0)